Alumina (Al2O3) Ceramic Boats

New product

Alumina (Al2O3) Ceramic is an excellent material for various applications such as CVD, ion plants, photolithography, and semiconductor parts. Al2O3 Boats offer high hardness, mechanical strength, temperature stability, thermal conductivity, insulation, and corrosion resistance. Heeger Materials provides top-quality Al2O3 Ceramic at competitive prices, offering specialized and customized services.

More details

Inquiry

Please contact us if you need customized services. We will contact you with the price and availability in 24 hours.

Data sheet

ShapeRectangle, Boat
MaterialsAl2O3
Purity95%, 97%, 99%, 99.7%, or customized
Sizecustomized

More info

Alumina (Al2O3) Ceramic Boats are small vessels used for sample processing and analysis in laboratory and industrial settings. They are made of alumina ceramic and have a flat, boat-shaped exterior with a flat or slightly curved bottom. Alumina (Al2O3) Ceramic Boats have excellent chemical corrosion resistance and high-temperature resistance, so they can be widely used in high-temperature, high-pressure, strong corrosive, and other harsh environments.

HM can supply high-quality Alumina (Al2O3) Ceramic Boats in the shapes of rectangles, boats, etc. The capacity ranges from 1~750 ml. The capacities and shapes can be tailored according to specific drawings.

Alumina (Al2O3) Cerami BoatsAlumina (Al2O3) Ceramic Boats

Alumina (Al2O3) Ceramic Boats Properties

Composition

UNIT

HM-Al95

HM-Al99

HM-Al997

AL2O3  Content

%

95

99

99.7

Color

 

White

Ivory

Ivory

Tensile Strength

Kpsi

32

38

36

Flexural Strength

Kpsi

52

55

54

Compressive Strength

Kpsi

300

377

350

Density

g/cc

3.70

3.90

3.92

Hardness

HV, GPa

13.8

17.2

18

Thermal Conductivity

W/(m K)

25

30

30

Coefficient of Thermal Expansion

In / In°C (x10-6)

7.7

7.8

7.8

Working Temperature

°C

1500

1650

1750

Dielectric Constant

 

9.5

9.7

9.8

Volume Resistivity (25°C)

Ohm-cm

>1014

>1014

>1014

Alumina (Al2O3) Ceramic Boats Advantages

  • Excellent chemical corrosion resistance.
  • High-temperature resistance can be used for long-term at 1600 ℃ and short-term at 1800℃.
  • It has good quenching and heat resistance and is not prone to cracking.

Alumina (Al2O3) Ceramic Boats Applications

  • Sample preparation and analysis in laboratory and industrial settings
  • Melting and casting of metals and alloys
  • Powder sintering and processing
  • High-temperature furnace components and insulation
  • Semiconductor and electronics manufacturing

Alumina (Al2O3) Ceramic Boats Packing

Alumina (Al2O3) Ceramic Boats are carefully packaged with adhesive-free surface protection tape and cardboard boxes to minimize damage during storage and transportation and to preserve the quality of our products in their original condition.

Inquiry to Heeger Materials

Items marked with an asterisk (*) are required.
Please include item quantity, size and purity.
Privacy Information:

Heeger Materials respects your privacy, and we will NOT sell or provide your personal data to other third parties, or allow them to use your personal data for their own purposes. However, we would like to send you information from time to time by mail or email about our products and special offers in addition to the interest categories you've selected above. Read our Privacy Policy

19 other products in the same category: